Importing Synthesized Files from EDA Tools into the MAX+PLUS II Software for Place & Route Technical Brief 45 April 1998, ver. 1 Introduction Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com The Altera(R) MAX+PLUS(R) II software easily interacts with third-party EDA tools. With the MAX+PLUS II software, you can use a third-party EDA tool as your front-end tool to target a design for Altera programmable logic devices (PLDs). This technical brief describes how to import an EDIF netlist file, created in a third-party EDA tool, into the MAX+PLUS II software for fitting. For more information on advanced compilation settings and steps, refer to MAX+PLUS II Help. Compiling an EDA Design with the MAX+PLUS II software To compile an EDIF netlist file created by a third-party EDA tool using the MAX+PLUS II software, follow these steps: 1. Choose Open (File menu) and select your design file. The design file should be in EDIF format with the extension .edf. Synplicity also provides an option to generate a Text Design File (.tdf) in the Altera Hardware Description Language (AHDL). You can also import the TDF into the MAX+PLUS II software. 2. Choose Set Project to Current File (File menu) to set your project for compilation. 3. Choose Device (Assign menu). First, specify the device family in the Device Family drop-down list box, and then select the device in the Devices dialog box (see Figure 1). Turn off Show Only Fastest Speed Grades to show all available devices in a family. Figure 1. Device Dialog Box Altera Corporation M-TB-045-01 4. Choose OK to save your changes. 5. Choose Compiler (MAX+PLUS II menu). 6. Choose EDIF Netlist Reader Settings (Interfaces menu) and select the applicable EDA vendor from the Vendor drop-down list box. Choose OK. TB 45: Importing Synthesized Files from EDA Tools into the MAX+PLUS II Software for Place & Route This step instructs the MAX+PLUS II software to use a Library Mapping File (.lmf). During compilation, the LMF will map cells in EDIF Input Files (.edf) to equivalent MAX+PLUS II logic functions. If your EDA vendor provides a separate LMF or the EDA vendor is not listed in the Vendor drop-down list box, click on the Customize button. Then turn on LMF#1 or LMF #2 and type the desired LMF location and filename in the Library Mapping Files fields or select it in the Directories window. See Figure 2. Figure 2. EDIF Netlist Reader Settings Dialog Box 7. (Optional) Before compilation, you can adjust synthesis settings and timing requirements for your design. Refer to MAX+PLUS II Help for details. 8. (Optional) You can instruct the MAX+PLUS II software to generate output files for third-party simulation tools by choosing EDIF Netlist Writer, Verilog Netlist Writer, and/or VHDL Netlist Writer (Interfaces menu), and adjusting their settings as needed for your design. Refer to MAX+PLUS II Help for details. 9. Choose Start in the MAX+PLUS II Compiler to begin place and route. For more information on fitting your design, refer to the "Guidelines for First-Time Project Fitting" and "Fitting & Refitting a Project" in MAX+PLUS II Help. More Information Altera provides extensive support documentation to help you successfully use the MAX+PLUS II software with third-party EDA design entry tools. For technical support, contact Altera Applications at (800) 800-EPLD or go to the MAX+PLUS II Altera Commitment to Cooperative Engineering Solution (ACCESSSM) Key Guidelines on the Altera web site. You can also e-mail your technical questions to Altera at sos@altera.com. For answers to common questions regarding the Altera/EDA software tool interface, search Atlas Solutions on the Altera web site. TB 45: Importing Synthesized Files from EDA Tools into the MAX+PLUS II Software for Place & Route The following documents provide more detailed information: MAX+PLUS II ACCESS Key Guidelines MAX+PLUS II Programmable Logic Development System & Software Data Sheet EDA Software Support These documents are available by contacting Literature Services at (888) 3-ALTERA; you can also download them from the Altera web site at http://www.altera.com. (R) 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com 3 Copyright 1998 Altera Corporation. Altera, MAX, MAX+PLUS, MAX+PLUS II, ACCESS, Atlas, and FLEX 10K are trademarks and/or service marks of Altera Corporation in the United States and other countries. Other brands or products are trademarks of their respective holders. The specifications contained herein are subject to change without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. All rights reserved. Altera Corporation